Welcome![Sign In][Sign Up]
Location:
Search - YCbCr vhdl

Search list

[Other resourceYcrcb2rgb

Description: VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
Platform: | Size: 42158 | Author: jihuijie | Hits:

[Other resourcecolor_converter.tar

Description: 此代码实现不同图像颜色制式之间的相互转换,如XYZ<->RGB, 不同标准的RGB<->RGB 以及RGB<->YCbCr之间的转换,包内含有matlab仿真代码m文件、VHDL代码.v文件以及modelsim仿真的testbench文件,相信对大家有一定的帮助
Platform: | Size: 340094 | Author: 王弋妹 | Hits:

[VHDL-FPGA-VerilogYcrcb2rgb

Description: VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
Platform: | Size: 41984 | Author: jihuijie | Hits:

[VHDL-FPGA-Verilogcolor_converter.tar

Description: 此代码实现不同图像颜色制式之间的相互转换,如XYZ<->RGB, 不同标准的RGB<->RGB 以及RGB<->YCbCr之间的转换,包内含有matlab仿真代码m文件、VHDL代码.v文件以及modelsim仿真的testbench文件,相信对大家有一定的帮助-This code different image color conversion between formats, such as XYZ <-> RGB, different standards of RGB <-> RGB and RGB <-> YCbCr conversion between packet contains code m file matlab simulation, VHDL code . v documents and ModelSim Simulation Testbench documentation, I believe everyone will certainly help
Platform: | Size: 339968 | Author: 王弋妹 | Hits:

[Special EffectsHDL

Description: RGB和YCbCr相互转换的Verelog程序-RGB and YCbCr mutual conversion process Verelog
Platform: | Size: 4096 | Author: 罗林波 | Hits:

[Graph programrgb2ycrcb

Description: 真正可用的RGB转YCbCr的verilog程序,输出格式为标准BT.656格式,经本人亲自编写并验证,可在硬件上正常工作。-Truly available to the Verilog RGB to YCbCr procedures BT.656 output format as the standard format, as I personally prepared and verified in the hardware work correctly.
Platform: | Size: 2048 | Author: cloud | Hits:

[VHDL-FPGA-Verilogrgb2ycrcb

Description: RGB转为YCBCR格式的verilog源代码,对熟悉verilog编程有帮助-RGB to YCbCr format Verilog source code, to people familiar with Verilog programming help
Platform: | Size: 17408 | Author: dongming | Hits:

[Video Capturecamera_up

Description: Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境中图像的捕捉。可变的同步信号极性使得可以兼容各种摄像头外设。Camera Interface兼容AMBA规范, AHB SLAVE接口,用于读取软件配置数据和设置数据存放地址和1帧数据占用的空间。-The Camera IP Core is small and flexible video data coverter. It is connected to a typical video camera ICs with 8-bit digital video data, Horizontal synchronization and Vertical synchronization signals. The core is connected through FIFO to a WISHBONE bus on the other side. Both sides of the core can operate at fully asynchronous clock frequencies. The Camera IP Core convertes 4:2:2 YCbCr video data (sometimes called YUV, but not totally the same Y is the same, while Cb and Cr are U and V multiplied by a constant) to a 24-bit RGB. 24-bit or 16-bit RGB data, downsampled from 24-bit RGB, is then sent to the system (video) memory, however conversion can also be by-passed. Interrupt can be generated after frame-buffer in system (video) memory is filled up or after setable number of horizontal lines written to frame-buffer.
Platform: | Size: 32768 | Author: 孙喆 | Hits:

[VHDL-FPGA-VerilogYCbCr2RGB

Description: verilog 实现的YCbCr到RGB得转换-verilog implementation YCbCr to RGB was converted
Platform: | Size: 1024 | Author: qirui | Hits:

[VHDL-FPGA-Verilogcolor_conv

Description: BT656,YCBCR数据格式转换成VGA(888)数据算法,-BT656, YCBCR data format converted into VGA (888) data algorithm,
Platform: | Size: 1024 | Author: 朱红梅 | Hits:

[VHDL-FPGA-VerilogYCbCr_RGB_10bit

Description: YCbCr 转 RGB模块,以应用于项目中。 该模块可将10bitYCbCr分量视频转换为12bitRGB视频,需消耗乘法器。-YCbCr turn RGB module, to apply to the project. The module can be 10bitYCbCr component video converted to 12bitRGB video, need to consume multiplier.
Platform: | Size: 1024 | Author: 张曦 | Hits:

[VHDL-FPGA-VerilogYCbCr2RGB

Description: 将图像数据Ycbcr格式转换为rgb格式,方便显示器显示。-Converting the image data Ycbcr format to RGB format , facilitating the monitor display.
Platform: | Size: 1024 | Author: 秦立红 | Hits:

[Special EffectsYCbCr2RGB

Description: YCbCr到RGB的verilog代码,可从ccd直接转换-convertion code of YCbCr to RGB
Platform: | Size: 1024 | Author: zhong | Hits:

[VHDL-FPGA-Verilogcolor_converter_latest.tar

Description: The main purpose of the core is a color transform tasks such as CIE XYZ<->RGB, different RGB<->RGB and RGB<->YCbCr operations. The main part of color conversions from one to another color system concludes in 3x3 matrix multiplication with vector addition. Therefore the present core performs true 3x3 matrix multiplication and vectors addition of the input data stream. In the package ccfactors_pkg.vhd presents matrixes for all of the most popular conversions. You can use these defines or type own factors. Input DATA_WIDTH is changeable and defines by the GENERIC param of the module. Precision of the matrix factors also is changeable. Default precision – 10-E6. Better than enough for color conversion tasks.
Platform: | Size: 338944 | Author: Ning | Hits:

[OtherVHDL_Complacated_divider_multiplier_technic

Description: Described as a way difficult to express in the language VHDL complicated point calculation method can be easily expressed in a FPGA-based 2 n wins to reference video signal YCbCr to RGB conversion will be created. This material has a lot of technicians to help distribute the interest fee doeteumyeon.
Platform: | Size: 2048 | Author: jeong | Hits:

[VHDL-FPGA-Verilogcolor_converter_latest.tar

Description: 彩色空间转换的VHDL源代码,可以实现CIE XYZ<->RGB, different RGB<->RGB和RGB<->YCbCr之间的相互转换,使用3x3矩阵模板(a color transform tasks such as CIE XYZ<->RGB, different RGB<->RGB and RGB<->YCbCr operations. The main part of color conversions from one to another color system concludes in 3x3 matrix multiplication with vector addition. Therefore the present core performs true 3x3 matrix multiplication and vectors addition of the input data stream.)
Platform: | Size: 335872 | Author: athbest | Hits:

[OtherC0131522

Description: RGB to YCbCr Color Conversion using VHDL approach
Platform: | Size: 366592 | Author: Awad | Hits:

CodeBus www.codebus.net